女人被狂躁到高潮视频免费无遮挡,内射人妻骚骚骚,免费人成小说在线观看网站,九九影院午夜理论片少妇,免费av永久免费网址

當(dāng)前位置:首頁(yè) > > 充電吧
[導(dǎo)讀]1、--GENERIC可以用來指定一些全局的變量,它要放在entity之后,port口映射之前entity ethernet isgeneric(--GENERIC語(yǔ)句指定的參數(shù)是全局的MIIM_PH

1、--GENERIC可以用來指定一些全局的變量,它要放在entity之后,port口映射之前

entity ethernet is

generic(--GENERIC語(yǔ)句指定的參數(shù)是全局的
MIIM_PHY_ADDRESS? ? ? : t_phy_address := (others => '0');
MIIM_RESET_WAIT_TICKS : natural? ? ? ?:= 0;
MIIM_POLL_WAIT_TICKS? : natural? ? ? ?:= DEFAULT_POLL_WAIT_TICKS;
MIIM_CLOCK_DIVIDER? ? : positive? ? ? := 50;
-- You need to supply the current speed via speed_override when MIIM is disabled
MIIM_DISABLE? ? ? ? ? : boolean? ? ? ?:= FALSE

);

port(

a :input std_logic;

b:output std_logic



);

end entity;

GENERIC:此處的GENERIC什么的參數(shù)相當(dāng)于.C文件聲明的全局變量,可以在本.c文件中任何位置使用,如果需要定義所有文件都使用的全局變量,則需要在package中定義

如,

package const_def is

constant OCXO1PPS_DelayCounter:std_logic_vector(27 downto 0) := X"4C4B3FF";

constant OCXODelay1PPS_Counter:std_logic_vector(27 downto 0) := OCXO1PPS_DelayCounter+X"00C3500";

end const_def;

generic定義變量的作用,可以將定義的變量作為entity模塊的一些配置參數(shù)的入口,比如定義一個(gè)timeperiod,默認(rèn)=1000,當(dāng)需要修改為2000時(shí),可通過外部傳遞參數(shù)進(jìn)來

傳遞方式格式:

C2:i2cs_rx

? ?generic map(

timeperiod=>2000

)

? ???port map(

? ?? ?CLB => CLB,

? ?? ?SCL => SCL,

? ?? ?SDA => SDA

? ?? ?? ???);

這樣就能更好的將.vhd程序模塊化,重復(fù)利用率變高。

natural:自然數(shù)>=0;是integer的子類型

postive:正整數(shù)>0; ? 是interger的子類型

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

當(dāng)前最流行的硬件設(shè)計(jì)語(yǔ)言有兩種,即 VHDL 與 Verilog HDL,兩者各有優(yōu)劣,也各有相當(dāng)多的擁護(hù)者。VHDL 語(yǔ)言由美國(guó)軍方所推出,最早通過國(guó)際電機(jī)工程師學(xué)會(huì)(IEEE)的標(biāo)準(zhǔn),在北美及歐洲應(yīng)用非常普遍。而 V...

關(guān)鍵字: vhdl verilog

    串行總線和并行總線相比具有結(jié)構(gòu)簡(jiǎn)單、占用引腳少、成本低的優(yōu)點(diǎn)。常見的串行總線有USB、IEEE1394、I2C等,其中I2C總線具有使用簡(jiǎn)單的特點(diǎn),在單片機(jī)、串行E2P

關(guān)鍵字: vhdl i2c 串行總線

  目前,許多廠商都提供通用的串行通信芯片,其傳輸方式分為同步方式和異步方式。其中,異步芯片大多與INTEL的8250芯片兼容;而同步方式,由于一般涉及到所支持的傳輸協(xié)議(BSC、HDLC、SD

關(guān)鍵字: FPGA cpld vhdl 通信芯片

當(dāng)今汽車行業(yè)所面臨的挑戰(zhàn)與電信行業(yè)十多年前所經(jīng)歷的類似?;旌蟿?dòng)力電動(dòng)汽車和燃料電池汽車等新技術(shù)也促進(jìn)了研發(fā)活動(dòng)的日趨活躍,正如我們?cè)谑謾C(jī)演變成多媒體設(shè)備的進(jìn)程中所看到的一樣。同樣,電信業(yè)面臨著功

關(guān)鍵字: vhdl 仿真 系統(tǒng)設(shè)計(jì)

0 引言 VHDL超高速集成電路硬件描述語(yǔ)言是隨著集成電路系統(tǒng)化和高度集成化逐步發(fā)展起來的,是一種用于數(shù)字系統(tǒng)設(shè)計(jì)、測(cè)試,面向多領(lǐng)域、多層次的IEEE標(biāo)準(zhǔn)硬件描述語(yǔ)言。它從20世紀(jì)70年

關(guān)鍵字: EDA vhdl 醫(yī)療電子

在使用lattice domiand時(shí),出現(xiàn)了下面示圖現(xiàn)象,一個(gè)輸入信號(hào)總是出現(xiàn)在unconnected欄里,也即意味著你不能綁定管腳。logical net 'clkin' has no load.

關(guān)鍵字: lattice vhdl

一個(gè)VHDL程序代碼包含實(shí)體(entity)、結(jié)構(gòu)體(architecture)、配置(configuration)、程序包(package)、庫(kù)(library)等。一、數(shù)據(jù)類型1.用戶自定義數(shù)據(jù)類

關(guān)鍵字: vhdl

摘 要: 用VHDL語(yǔ)言設(shè)計(jì)的增量式旋轉(zhuǎn)編碼器接口電路,實(shí)現(xiàn)了四倍頻、雙向計(jì)數(shù)的功能以及與單片機(jī)的接口。給出了在MAX Plus II環(huán)境下的VHDL源代碼和時(shí)序仿真結(jié)果。本設(shè)計(jì)在

關(guān)鍵字: vhdl 總線與接口 接口 電路 編碼器
關(guān)閉